自学考试大纲:数字系统设计与PLD应用技术完整版文档下载

天津市高等教育自学考试课程考试大纲
课程名称:数字系统设计与PLD应用技术 (2016年10月修订版) 课程代码:0816、4658
天津市高等教育自学考试课程考试大纲
课程名称:数字系统设计与PLD应用技术 课程代码:0816、4658
第一部分 课程性质与目标
一、 课程性质与特点:
《数字系统设计与PLD应用技术》是高等教育自学考试电子信息工程专业所开设的专业课之一,它是一门理论联系实际、应用性强的课程。本课程以数字电子系统EDA技术与设计以及PLD应用技术为基本内容,以硬件描述语言VHDL实现PLD数字系统设计方法为主要研究对象,研究如何采用描述工具建立数字系统的EDA设计方法,选择适当PLD器件、采用相应的软件开发系统来实现待设计系统,并为复杂数字系统设计打下坚实的基础。
总学时为80学时(理论授课64学时,实践16学时)
二、课程目标与基本要求
通过本课程的学习,目的是使考生从功能电路设计转向数字系统的EDA设计,由传统的通用集成电路的应用转向可编程逻辑器件的设计与应用,从硬件设计转向硬件软件高度渗透的设计,从而拓宽EDA技术的知识面和设计能力。课程的基本要求是掌握EDA技术的基本概念,VHDL语言的基本概念、语法特征和应用,以及PLD的原理、EDA实验开发系统组成及应用。
知识目标
以传授PLD应用的基本知识和技能为目的,通过对数字系统设计及PLD应用技术的基础知识学习,使学生具备分析、设计VHDL应用程序和进行硬件分析、设计的基本技能,掌握PLD应用系统设计与制作的基本方法与步骤,能够熟练运用VHDL语言根据设计任务编程,并进行软、硬件调试等工作。
能力目标
通过该课程使学生具备使用EDA工具进行数字系统开发的能力,并具备VHDL语言的编程思想与能力,具备熟练地使用QuartusII等常用EDA软件进行数字电路系统的设计的能力,掌握功能仿真、时序仿真和硬件测试的能力,为现代数字系统设计与PLD应用技术的进一步学习,掌握专用集成数字电路设计以及超大规模集成数字电路设计奠定基础,增强学生的实践动手能力,达到了电子信息工程专业的培养目标及要求。
课程的基本要求
1.了解数字系统系统设计及PLD应用技术的基本思想。
2.了解EDA的基本概念和数字系统EDA设计流程。
3.掌握VHDL基本程序结构,包括实体、结构体、进程、库和子程序等主要语句结构。
4.掌握VHDL语言现象和语句规则的特点,以及运用VHDL表达与设计组合逻辑电路和时序逻辑电路的方法。
5.了解常用的可编程逻辑器件PLD的结构和工作原理。
6.掌握VHDL语言和PLD的应用,掌握QuartusII等常用EDA软件对PLD进行一些基本的数字系统的设计方法。
7.通过上机仿真和实验下载掌握如何运用该课程的知识来解决实际数字系统设计的问题。
三、本课程与本专业其它课程的关系
《数字系统设计与PLD应用技术》是电子信息工程专业大学本科学生必修的专业技术课程,它与电子信息工程专业的许多其他课程有着密切的关系。其先修课程是《数字电路与逻辑设计》。
第二部分 考核内容与考核目标
第一章 EDA技术概述
一、学习目的与要求
1.掌握EDA技术的基本概念;
2.了解EDA技术的知识体系、EDA技术的特点,EDA技术的应用;
3.掌握EDA技术的设计方法和开发流程。
二、考核知识点与考核目标
1.EDA技术的概念及其发展(一般)
识记:EDA技术的概念与特点。
理解:EDA技术的发展的3个阶段,可编程器件的发展趋势,输入方式的发展趋势、软件开发工具的发展趋势。
2.EDA技术的知识体系(一般)
识记:常用的可编程逻辑器件,常用的硬件描述语言,常用的EDA软件工具。
理解:FPGA/CPLD的结构及特点,EDA实验开发系统组成。
应用:印制电路板设计的基本作用及应用。
3.EDA技术的特点(重点)
理解:传统的数字系统设计方法,“自底向上”的设计流程,“自顶向下”的设计流程及其优点,EDA工具的设计输入分类,综合(Synthesis)的具体分类,时序仿真与功能仿真的特点。
应用:FPGA与CPLD的分类及应用,FPGA的EDA开发流程及应用。
4.EDA技术的应用(次重点)
理解:EDA技术的应用领域。

第二章 VHDL设计基础
一、学习目的与要求
1.掌握VHDL概况和特点;
2.掌握VHDL程序基本结构和VHDL语言要素;
3.重点掌握VHDL中的顺序语句、并行语句、属性描述语句;
4.了解VHDL语言的描述风格。
二、考核知识点与考核目标
1.VHDL概述(一般)
识记:常用的硬件描述语言。
理解:VHDL的特点。
2.VHDL程序基本结构(重点)
理解:VHDL程序框架,VHDL程序设计约定。
应用:实体概念及应用,结构体概念及应用,库的语法格式、分类及应用,程序包的语法格式、分类及应用。
3.VHDL语言要素(重点)
理解:VHDL的文字规则,VHDL数据对象,VHDL数据类型,VHDL运算操作符。
4.VHDL顺序语句(重点)
理解:赋值语句,转向控制语句,空操作语句,子程序调用语句,返回语句。
5.VHDL并行语句(重点)
理解:进程语句,并行信号赋值语句,元件例化语句,生成语句。
6.VHDL的属性描述语句(次重点)
理解:数组的常用属性,信号属性函数。
7.VHDL语言的描述风格(次重点)
理解:带异步复位功能的8位二进制加法计算器的行为描述,一位全加器的数据流描述,一位全加器的结构化描述。

第三章 用VHDL程序实现常用逻辑电路
一、学习目的和要求
1.重点掌握通过VHDL语言实现组合逻辑电路与时序电路的设计;
2.掌握通过VHDL实现状态机程序设计。
二、考核知识与考核目标
1.组合逻辑电路设计(重点)
理解:2输入与门的行为描述,2输入与门的寄存器传输级描述,2输入异或门电路,不同描述方式的3-8译码器,不同描述方式的8-3线优先编码器,1位半加器与全加器,三态门,单向总线缓冲器。
应用:7段译码器,8选1数据选择器,4位比较器。
2.时序逻辑电路设计(重点)
理解:D触发器,异步置位/复位D触发器,同步复位D触发器,RS触发器,JK触发器,T触发器。
应用:带使能端的8位寄存器,同步计数器与异步计数器,可逆计数器,2-4分频器,序列信号检测器。
3.存储器设计(一般)
理解:8*8位只读存储器,随机存储器RAM。
4.状态机设计(次重点)
理解:状态机的分类,状态机的结构,Moore型状态机,Mealy型状态机。

第四章 大规模可编程逻辑器件
一、学习目的和要求
1.掌握PLD的概念与分类;
2.了解PROM、PLA、PAL和GAL的应用;
3.了解复杂可编程逻辑器件(CPLD)的基本结构和原理;
4.了解现场可编程门阵列(FPGA)的基本结构和原理。
二、考核知识与考核目标
1.可编程逻辑器件概述(重点)
识记:PLD的概念,PLD的优点,PLD的分类。
2.简单可编程逻辑器件(一般)
理解:PLD的基本结构,PROM的阵列结构,PLA的阵列结构,PAL的阵列结构,GAL的阵列结构。
3.复杂可编程逻辑器件(CPLD)(次重点)
理解:CPLD基本结构,CPLD的工作原理。
4.现场可编程逻辑门阵列(次重点)
识记:FPGA器件的优点。
理解:FPGA的基本结构,FPGA的工作原理。
5.CPLD/FPGA的比较和选择(一般)
理解:CPLD与FPGA的性能比较。
应用:CPLD/FPGA的开发应用选择。

第五章 EDA实验开发系统及应用
一、学习目的和要求
1.掌握QuartusII软件的使用方法;
2.EDA数字系统的开发流程。
二、考核知识与考核目标
1.QuartusII软件的安装(一般)
理解:系统要求。
应用:安装步骤。
2.QuartusII的基本操作流程(次重点)
理解:QuartusII的原理图编辑输入法,QuartusII的文本编辑输入法。
应用:QuartusII的层次化设计方法应用。

第六章 EDA技术实验
一、学习目的和要求
掌握几种基本的数字系统的VHDL设计方法,达到为数字系统的EDA综合设计与应用奠定基础的目的。
二、考核知识和考核目标
1.8位全加器(重点)
应用:利用VHDL实现一个两个8位二进制全加器。
2.组合逻辑电路设计(重点)
应用:设计一个4开关控制1盏灯的逻辑电路,设计一个四舍五入判别电路,设计一个优先排队电路。
3.计数器的设计(重点)
应用:设计一个含计数使能、异步复位和计数值并行预置功能的8位并行预置加法计数器。
4.7段数码显示译码器设计(重点)
应用:设计一个带有7段数码显示的十进制计数器。
5.数控分频器的设计(重点)
应用:设计一个当输入端给定不同输入数据时,对输入的时钟信号会有不同分频比的数控分频器。
6.8位数码管扫描显示电路(重点)
应用:设计一个随着选通信号的扫描,8位数码管扫描显示电路。
7.6位十进制数字频率计及设计(重点)
应用:利用十进制计数器、4位锁存器和测频控制信号发生器设计一个6位十进制数字频率计。

第三部分 实践环节考核与成绩
本课程的实践环节考核包括以下4个实验,每个实验单独考核,独立计分,采取百分制评分,60分及格。
考核成绩要求:4个实验均考核合格,并将4个实验的平均分作为本课程实践环节考核的最终成绩。
实验序号
数字系统设计与PLD应用技术
实验助学内容
讲授及实践课时
实验一
交通信号灯的设计
4
实验二
数字秒表的设计
4
实验三
电子抢答器的设计
4
实验四
出租车计费控制系统的设计
4
合计

16

实验一 交通信号灯的设计
一、 考核目的与要求
1.掌握交通信号灯控制系统的工作原理。
2.掌握基于原理图与VHDL文本混合编程的数字系统设计方法。
二、 考核内容
1.要求采用原理图与VHDL文本混合编程方式设计完成一个十字路口交通信号灯控
制程序。
2.要求交通信号灯的亮灭的规律为:初始态是两个路口的红灯全亮,之后东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。
三、 考核方式
1.考核方式
交通灯控制系统是基本的数字系统设计应用案例,本实验考核学生对交通灯控制系统工作原理的理解与原理图与VHDL文本混合编程技能,考核采用终结性考核。考试时间100分钟。
2.考核方法
(1)交通灯控制系统电路设计与操作。
(2)原理图与VHDL程序编写与操作。
(3)调试与操作。
(4)实验结果与实验报告。
(5)现场有关实验问题解答。
3.评分标准
采用百分制,每项各占20分。

实验二 数字秒表的设计
一、 考核目的与要求
1.掌握数字秒表的工作原理。
2.掌握基于原理图与VHDL文本混合编程的数字系统设计方法。
二、 考核内容
1.设计一个计时范围为0.01秒~1小时的数字秒表。
2.该数字秒表具备计时清零控制端,同时还具备使能控制端,即计时允许控制端。
三、 考核方式
1.考核方式
数字秒表是基本的数字系统设计应用案例,本实验考核学生对数字秒表工作原理的理解与原理图与VHDL文本混合编程技能,考核采用终结性考核。考试时间100分钟。
2.考核方法
(1)数字秒表电路设计与操作。
(2)原理图与VHDL程序编写与操作。
(3)调试与操作。
(4)实验结果与实验报告。
(5)现场有关实验问题解答。
3.评分标准
采用百分制,每项各占20分。

实验三 电子抢答器的设计
一、 考核目的与要求
1.掌握电子抢答器的工作原理。
2.掌握基于原理图与VHDL文本混合编程的数字系统设计方法。
二、 考核内容
1.设计一个可容纳4~6组参赛者的电子抢答器。
2.每组设置一个抢答按钮供抢答者使用,电路具备第一抢答信号的鉴别和锁存功能,具备计分和犯规判断功能。
三、 考核方式
1.考核方式
电子抢答器是典型的数字系统设计应用案例,本实验考核学生对电子抢答器工作原理的理解与原理图与VHDL文本混合编程技能,考核采用终结性考核。考试时间100分钟。
2.考核方法
(1)电子抢答器电路设计与操作。
(2)原理图与VHDL程序编写与操作。
(3)调试与操作。
(4)实验结果与实验报告。
(5)现场有关实验问题解答。
3.评分标准
采用百分制,每项各占20分。

实验四 出租车计费控制系统的设计
一、 考核目的与要求
1.掌握出租车计费控制系统的工作原理。
2.掌握基于原理图与VHDL文本混合编程的数字系统设计方法。
二、 考核内容
1.设计一个出租车自动计费控制系统,计费包括起步价、行车里程计费、等待时间计费三部分。
2.用四位数码管显示金额,最大值为999.9元,最小计价单元为0.1元,行程3千米内,且等待累计时间3分钟内,起步费为8元,超过3千米,以每千米1.7元计费,等待时间单价为每分钟1元。
3.用两位数码管显示总里程,最大为99千米。
4.用两位数码管显示等待时间,最大值为59分钟。
三、 考核方式
1.考核方式
出租车计费控制系统是一个应用性很强的数字系统设计案例,本实验考核学生对出租车计费控制系统工作原理的理解与原理图与VHDL文本混合编程技能,考核采用终结性考核。考试时间100分钟。
2.考核方法
(1)出租车计费控制系统电路设计与操作。
(2)原理图与VHDL程序编写与操作。
(3)调试与操作。
(4)实验结果与实验报告。
(5)现场有关实验问题解答。
3.评分标准
采用百分制,每项各占20分。
第四部分 有关说明与实施要求
一、 考核目标的能力层次表述
本大纲在考核目标中,按照“识记”、“理解”、“应用”三个能力层次规定了不同的能力层次,各个能力层次为递进等级关系,其含义为:
识记:能了解有关概念、理论的意义,并能正确地认识和表达,是低层次的要求。
理解:在识记的基础上,能全面把握基本概念、基本原理、基本方法分析和解决有关的理论问题和实际问题,是中等层次的要求。
应用:一般分为“简单应用”和“综合应用”,其中,“简单应用”指在理解的基础上能用学过的一两个知识点分析和解决简单的问题;“综合应用”指在简单应用的基础上能用学过的多个知识点综合分析和解决比较复杂的问题,是最高层次的要求。
二、 指定教材
《EDA技术及其应用》 周振超、冯暖编著 清华大学出版社 2015年版
三、 自学方法指导
1.考生自学时,应先仔细阅读本大纲。明确大纲规定的课程内容和考试目标及所列各章中考核的知识点和考核要求,以便突出重点,有的放矢地掌握课程内容。
2.在了解考试大纲内容的基础上,根据考核知识点和考核要求,认真阅读教材,把握各章节的具体内容,吃透每个知识点,对基本概念和基本原理必须深刻理解,对基本方法牢固掌握,并融会贯通,在头脑中形成完整的内容体系。
3.在自学各章节内容时,能够在理解的基础上加以记忆,切勿死记硬背;同时在对一些知识内容进行理解把握时,联系实际问题思考,从而达到深层次的认识水平。
4.为了提高自学效果,应结合自学内容,尽可能的多看一些例题和动手做一些练习。在指定教材中,每章中均提供了例题,这些例题多为实际应用的例子,具有代表性,考生应在自学过程中仔细阅读,从而帮助理解概念和应用知识;此外,在各章末均附有丰富的习题,动手做练习是达到理解、记忆、应知应会的好办法。
四、 社会助学的要求
1.熟知考试大纲对课程提出的总要求和各章的知识点。
2.掌握各知识点要求达到的能力层次,并深刻理解对各知识点的考核目标。
3.辅导时,应以考试大纲为依据,制定的教材为基础,不要随意增删内容,以免与大纲脱节。
4.辅导时,应对学习方法进行指导。提倡“认真阅读教材,刻苦钻研教材,主动争取帮助,依靠自己学通”的方法。
5.辅导时,要注意突出重点,对考生提出的问题,不要有问即答,要积极启发引导。
6.注意对应考者能力的培养,特别是对自学能力的培养, 要引导考生逐步学会独立学习,在自学过程中善于提出问题,分析问题,做出判断, 解决问题。
7.要使考生了解试题的难易与能力层次高低两者不完全是一回事,在各个能力层次中会存在不同难度的试题。
8.助学学时:本课程共5学分,其中理论环节4学分,建议理论课时72学时;实践环节1学分,建议实践课时18学时。助学学时分配如下:
章 节
课程内容
助学学时
第一章
EDA技术概述
4
第二章
VHDL设计基础
16
第三章
用VHDL程序实现常用逻辑电路
20
第四章
大规模可编程逻辑器件
10
第五章
EDA实验开发系统及应用
10
第六章
EDA技术实验
10

实践
18

总计
90
五、关于命题和考试的若干规定
1.本大纲各章所提到的内容和考核目标都是考试内容。
2.试卷中对不同能力层次的试题比例大致是:“识记”为20%、“理解”为30%、“应用” 为50%。
3.试题难易程度应合理:易、较易、较难、难比例为:2:3:3:2。
4.每份试卷中,各类考核点所占比例约为:重点占55%,次重点占30%,一般占15%。
5.本课程命题采用的基本题型包括单项选择题、填空题、判断正误题、程序分析题、程序设计题。
6.考试采用闭卷笔试,考试时间150分钟,采用百分制评分,60为及格。
六、题型示例
(一)单项选择题
1.下列选项中,属于VHDL合法的标识符是______。
(A)Case (B)6e_7 (C)reg32b (D)mk56_
(二)填空题
1.用VHDL设计一个数字系统时,针对实体中端口模式有________、___________、________、__________四种模式类型。
(三)判断正误题
1.在进程中对信号进行赋值时,其值更新是立即完成的。( )
(四)程序分析题
1.根据T触发器真值表编写T触发器VHDL程序。
LIBRARY ;
USE ;
ENTITY tcfq IS
PORT( : IN std_logic;
q: std_logic);
END tcfq;
art OF tcfq is
BEGIN
PROCESS( )
BEGIN
IF( ) THEN
IF t=’1′ THEN

ELSE
END IF;
;
END PROCESS;
END art;
(五)程序设计题
1.用VHDL语言描述带有同步使能功能的一位二进制D触发器。
(其中:CLK为时钟,D为数据输入端、EN为使能输入端、Q为数据输出端)

第 8 页 共 9 页

中国自考网:本站所有历年真题和视频资料,持续更新到最新的,如发现不是最新,联系客服即可。
中国自考网:建议开通SVIP超级会员更划算,全站所有资源永久免费下载(正版自考网课除外)
1. 本站所有网课课程资料来源于用户上传和网络收集,如有侵权请邮件联系站长!
2. 分享目的仅供大家学习和交流,助力考生上岸!
3. 如果你想分享自己的自考经验或案例,可在后台编辑,经审核后发布在“中国自考网”,有下载币奖励哦!
4. 本站提供的课程资源,可能含有水印,介意者请勿下载!
5. 如有链接无法下载、失效或广告,请联系管理员处理(在线客服)!
6. 本站资源售价只是赞助,收取费用仅维持本站的日常运营所需!
7. 星光不问赶路人,岁月不负有心人,不忘初心,方得始终!
中国自考网 » 自学考试大纲:数字系统设计与PLD应用技术完整版文档下载

中国自考网-百万考生与你同行

会员介绍 在线客服